Lam Research Teams Up with SK Hynix to Enhance DRAM Production Cost Efficiency with Breakthrough Dry Resist EUV Technology

#LamResearch #EUVTechnology #SKHynix

Source: Lam Research

“As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today’s increasingly connected devices at a cost that is right for our customers.” - BK Lee, head of R&D process at SK Hynix

June 2022 : Lam Research (Nasdaq: LRCX) today reported that SK Hynix Inc. has chosen Lam's imaginative dry oppose manufacture innovation as an improvement device of record for two key cycle steps in the development of cutting edge DRAM chips. A leading-edge innovation presented by Lam in 2020, dry oppose expands the goal, efficiency, and yield of Extreme Ultraviolet (EUV) lithography, a crucial innovation utilized in the development of cutting-edge semiconductors.

Through Lam's work with SK Hynix and progressing joint effort with environment accomplices on dry oppose innovation, the organization keeps on playing an influential position in driving designing developments to eliminate the detours related with scaling to future memory hubs with EUV lithography.

Richard Wise, Vice President and General Manager of the dry resist product group at Lam said, “Lam’s dry resist technology is a game-changer. By innovating at the material level, it addresses EUV lithography’s biggest challenges, enabling cost-effective scaling for advanced memory and logic.” He further added, “We are proud to continue our long-standing collaboration with SK Hynix to accelerate DRAM technology innovations.”

SK Hynix expects to utilize Lam's dry oppose underlayer and dry improvement processes for cutting-edge DRAM designing. BK Lee, head of R&D process at SK Hynix said, “As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today’s increasingly connected devices at a cost that is right for our customers.”. He further said, “The dry resist technology that we are working on with Lam enables exceptionally precise, low defect, and lower cost patterning.”

MORE FROM THE SECTION